Генерация произвольного такта на ПЛИС с использованием фазового аккумулятора

В моем проекте современной реплики ретро-компьютера «АГАТ-7» возникла необходимость генерации различных тактовых частот. Некоторые из них могут быть получены с помощью встроенных в ПЛИС PLL, другие могут быть получены простым делением частоты внутри ПЛИС. Но есть и такие, которые не …